CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - switch VHDL

搜索资源列表

  1. s3esk_rotary_encoder_interface

    1下载:
  2. Xilix spartan 3E 旋转编码器接口,脉冲方向识别,AB脉冲滤波 Rotary Encoder Interface Demonstrates how to use the rotary encoder portion of the rotary pushbutton switch.-Xilix spartan 3E rotary encoder interface, pulse direction identification, AB pulse filter Ro
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-21
    • 文件大小:279753
    • 提供者:weihua yuan
  1. UART_SUCCESS

    0下载:
  2. 实现FPGA和上位机的串口通信,里面由波特率发生器,移位寄存器,计数器,detecter,switch,switch_bus等功能块综合而成。-FPGA implementation and the host computer' s serial communication, which by the baud rate generator, shift register, counters, detecter, switch, switch_bus such as function bl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1855434
    • 提供者:zhn
  1. word

    0下载:
  2. Code was successfully implemented within ALtera FPGA with Quartus 6.0. It presents two polish own female names: ULA and ALA whose are scrolling on the 4-columns crystal LED. When you press the switch it will turn from ULA into ALA and continue scroll
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1196
    • 提供者:Gooreck
  1. led

    0下载:
  2. 用一个按钮开关循环控制四个led灯的闪烁方式,输入时钟10MHz,闪烁频率1Hz-Button switch with a four cycle control lights flashing led the way, the input clock 10MHz, blinking frequency of 1Hz
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:553
    • 提供者:liyinghe
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. plj

    0下载:
  2. 数字频率计是一种用来测试周期性变化信号工作频率的装置。其原理是在规定的单位时间(闸门时间)内,记录输入的脉冲的个数。我们可以通过改变记录脉冲的闸门时间来切换测频量程。本文利用EDA技术中的Max+plusⅡ作为开发工具,设计了基于FPGA的8位十进制频率计,并下载到在系统可编程实验板的EPF10K20TC144-4器件中测试实现了其功能。-Digital frequency meter is a kind of cyclical changes in the signal used to tes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:591499
    • 提供者:庄青青
  1. pinlvji

    0下载:
  2. 频率计VHDL编程。设计一个4位数字显示的十进制频率计,其测量范围为1MHz,测量值通过4个数码管显示以8421BCD码形式输出,可通过开关实现量程控制,量程分10kHz、100kHz、1MHz三档(最大读数分别为9.999kHz、99.99kHz、999.9kHz); 当输入信号的频率大于相应量程时,有溢出显示。 -Cymometer VHDL programming. Design of a 4-digit decimal display frequency, the measure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:90168
    • 提供者:zhangyi
  1. 8caideng

    0下载:
  2. 试设计一种彩灯控制器控制8盏灯。该彩灯控制器有4种自动切换的花样。第一种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮;第二种花样为彩灯两边同时亮一个逐次向中间移动再散开;第三种花样为彩灯两边同时亮两个逐次向中间移动再散开;第四种花样为彩灯两边同时亮三个,然后四亮四灭,四灭四亮,最后一灭一亮。四个花样自动变换,重复以上过程。输入时钟频率为500Hz,灯亮的时间在1—4秒之间,可以自由控制。电路中以“1”代表灯亮,以“0”代表灯灭。-Lantern try to design a contro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1400
    • 提供者:liruigang
  1. Electronic_Calendar_Based_On_FPGA

    0下载:
  2. 本项目主要是利用FPGA技术实现电子日立的功能,显示年月日星期,显示格式为:“年. 月. 日. 星期”,其中年月日星期均为可调电路。该项目共有七个模块:星期控制电路、日期控制电路、月份控制电路、年份控制电路、选择月份电路、扫描显示电路和调节电路。总体思路是:星期和日期控制电路共用一个脉冲信号;日期的进位反馈给调节电路,再通过调节电路中的开关控制选择月份和月份控制电路的脉冲信号,以起到随时调节月份的作用;同理,月份控制电路的进位反馈给调节电路以随时调节年份。-The project is main
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:42695
    • 提供者:xiaoxu
  1. liushuideng

    0下载:
  2. 流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果-Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achievement of water, running water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1768941
    • 提供者:赵剑平
  1. songer2

    0下载:
  2. 用VHDL语言实现多首音乐播放,并可切换,内含两首音乐。-VHDL language used to achieve more than the first music player, and switch, includes two music.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:73587
    • 提供者:figogo
  1. ethernet

    1下载:
  2. :提出了一种基于FPGA 实现嵌入式三态(10MB/100MB/1 000MB)以太网的设计方案,分别从硬件和软件方面介绍了使用FPGA 进 行嵌入式系统设计的方法,编写了一个控制系统进行10MB/100MB/1000MB 自切换程序,并在工程中得以实现。-: This paper presents a FPGA-based Embedded Tri-State (10MB/100MB/1 000MB) Ethernet design, from hardware and software,
  3. 所属分类:Other Embeded program

    • 发布日期:2014-12-20
    • 文件大小:88143
    • 提供者:田杰
  1. dds_9760_OK

    0下载:
  2. DDS信号源程序,用VHDL编的。里面可用拨码开关选择相应的功能:FM,ASK,PSK,AM(这一点实现的不是很好),但其它的很好。频率可达25M-DDS signal source, for the use of VHDL. DIP switch which can be used to select the appropriate function: FM, ASK, PSK, AM (This is not to achieve good), but other well. Frequen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5096040
    • 提供者:李逵
  1. Mars-EP1C6-F_code2

    0下载:
  2. 此包为FPGA学习板接口实验程序源代码,共包括13个实验程序,有7段数码管,1602液晶显示,12864液晶显示,I2C总线,串口通信,拨码开关等.-The packet interface to FPGA board experimental procedure to study the source code, a total of 13 experimental procedure, there are 7-segment digital tube, 1602 LCD 12864 LCD,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4633951
    • 提供者:sunxh092
  1. test

    0下载:
  2. 将拨码开关全部拨到on,将会看到数码管从 0-9 A-F逐个显示;按下8个按键中的任何一个,对应的LED灯会亮,按第一个按键时,蜂鸣器会响。-All appropriated for the DIP switch on, will see the digital tube display one by one from the 0-9 AF press 8 keys in any one, the corresponding LED lantern light, according to th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:141722
    • 提供者:panda
  1. pwmtest

    0下载:
  2. 拨码开关控制PWM的占空比为16级,分别对应电压3.3伏16分之一的倍数-DIP switch control PWM duty cycle is 16, corresponding to voltage of 3.3 volts, one of the 16 sub-multiples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:174710
    • 提供者:panda
  1. test

    0下载:
  2. 将拨码开关全部拨到on,将会看到数码管从0-9 A-F逐个显示;按下8个按键中的任何一个,对应的LED灯会亮,按第一个按键时,蜂鸣器会响。-All appropriated for the DIP switch on, will see the digital tube display one by one from the 0-9 AF press 8 keys in any one, the corresponding LED lantern light, according to the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:132669
    • 提供者:panda
  1. PWMtest

    0下载:
  2. PWM 转模拟信号 拨码开关控制 PWM 的占空比为16级,分别对应电压3.3伏16分之一的倍数-DIP switch to an analog signal PWM switch control PWM duty cycle is 16, corresponding to voltage of 3.3 volts, one of the 16 sub-multiples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:140747
    • 提供者:panda
  1. senduard_50m

    0下载:
  2. 串口发送: 使用串口发送程序接收二进制码(9600波特率) ,用拨码开关控制发送二进制的高四位,按板上的第二个按钮,LED灯会相应的亮起,PC 会收到相应的数据-Serial port to send: Use the serial port to send a program to receive a binary code (9600 baud), with DIP switch control to send binary high-4, according to board the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:194879
    • 提供者:panda
  1. diantivhdl

    0下载:
  2. 电梯的vhdl设计,6层楼含开关门,警报,内部请求,外部请求-Vhdl elevator design, six floors with switch doors, alarm, internal requests and external requests
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:162515
    • 提供者:卢宇
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com